Welcome to the Bartels Group of Companies
Cellrouter - Deutsche Version Cell Router - English Version
Bartels

Bartels System GmbH
Bartels
Bartels AutoEngineer
BAE Product Info
BAE Price List
BAE Downloads
BAE Documentation
BAE Installation Guide
BAE User Manual
Preface
1 Introduction
2 Circuit Design
3 Packager
4 PCB Design
5 IC/ASIC Design
5.1 General
5.2 IC Cell Library
5.3 IC Mask Layout
5.4 Cell Placer
5.5 Cell Router
5.5.1 Cell Router Startup
5.5.2 Cell Router Main Menu
5.5.3 Cell Router System Features
5.5.4 Cell Router Options
5.5.5 Cell Router Control
5.5.6 Cell Router Strategy
5.5.7 Cell Router Functions
5.6 IC Design Data
6 Rule System
7 Utilities
BAE Libraries
User Language Programmer's Guide
BAE Update History
BAE Next Version Release Notes Preliminary
BAE V8.0 Release Notes
BAE V7.8 Release Notes
BAE V7.6 Release Notes
BAE V7.4 Release Notes
BAE V7.2 Release Notes
BAE V7.0 Release Notes
BAE V6.8 Release Notes
BAE V6.6 Release Notes
BAE V6.4 Release Notes
BAE V6.2 Release Notes
BAE V6.0 Release Notes
BAE V5.4 Release Notes
BAE V5.0 Release Notes
BAE V4.6 Release Notes
BAE V4.4 Release Notes
BAE V4.2 Release Notes
BAE V4.0 Release Notes
BAE V3.4 Release Notes
BAE Support
BAE Contributions
BAE Development and Service Companies
Electronics Development
Bartels Sport Service
Company Profile
Corporate Info
Bartels :: Bartels AutoEngineer :: BAE Documentation :: BAE User Manual :: IC/ASIC Design :: Cell Router
Bartels AutoEngineer® - User Manual

5.5 Cell Router

Bartels AutoEngineer® Dokumentation

The IC design system of the BAE software provides the Cell Router module for automatically routing the chip layout. With real jobs you should make sure any pre-routed critical traces are fixed, before starting the autorouting process. After finishing the autorouting, you should always run a Batch DRC before generating the data for the IC production.

 

5.5.1 Cell Router Startup

The Cellrouter function from the Chip Editor File menu is used to start the Cell Router. The Cell Router module can only be activated if a chip layout is loaded in the Chip Editor. A chip outline (to be defined with the Add Outline function from the Chip Editor Areas menu) and a valid via assignment list (use function Select Via from the Chip Editor Macros menu) is required by the Cell Router. Please make also sure that all net list cells are placed with correct cell definitions inside the chip outline (no cell or pin and no fixed trace or via must be placed outside the chip outline) before starting the Cell Router. After activating the Cellrouter function, the system automatically saves the currently loaded chip layout and the Cell Router program module starts.

The following error messages might be issued when calling the Cell Router and/or within the Cell Router itself:

Command not allowed for this element!
Cause:no chip layout loaded when calling the Cell Router
Fix:Chip Editor - File - Load - Chip Layout - ...
Outline not defined!
Cause:Chip outline definition is missing
Fix:Chip Editor - Areas - Add Outline - ...
Missing standard via definition!
Cause:no via assignment
Fix:Chip Editor - Macros - Select Via(s) - ...
Macros not found or of different type!
Cause:not all net list cells are placed on the chip layout or there are net list cells placed with wrong cell library definitions
Fix:use Chip Editor - Macros - Delete Update to remove net list parts with wrong cell defintions; then use manual or interactive placement functions to complete the cell placement
Incompatible options selected!
Cause:some of the routing parameters from the Options menu are not compatible to each other
Fix:make sure that the sum of Trace Width and Minimum Distance is not larger than the Routing Grid
Aborted due to previous error!
Cause:the current chip layout data is not suitable for routing with the selected routing options
Fix:use Router Restart and modify Options or go back to the Chip Editor to fix any problems with the chip layout or library which have been displayed with previous error messages
Double defined padstack!
Cause:chip layout contains ambiguous cell library definition(s) such as cell(s) with more than one pin placed at the same position
Fix:correct cell library element(s)
Cannot find padstack!
Cause:the chip layout and/or the cell library contains cell definitions with missing padstack/pin definitions
Fix:add/define missing pin/padstack definitions
Double defined pad!
Cause:chip layout contains ambiguous cell library pin definitions(s) such as pin(s) with more than one connection pad placed on the same routing layer
Fix:correct pin definition(s)
Pin out of border (<partname>) !
Cause:net list cell(s) and/or net list pin(s) placed outside chip outline
Fix:correct cell placement
Short circuit Pin to Copper Area!
Cause:a netlist pin is placed on an active area and causes a short-circuit
Fix:go to the Chip Editor and move cell(s) and/or area(s) to eliminate the short-circuit(s)
Short circuit Pin to Pin!
Cause:netlist pins are placed on top of each other and are causing a short-circuit
Fix:go to the Chip Editor and move cell(s) to eliminate the short-circuit
Invalid via padstack (cannot use it)!
Cause:via list is incomplete or contains invalid via definition(s)
Fix:correct/complete via definition(s); each via must contain connection pads for at least two adjacent routing layers and the via list must span the complete routing layer range
Trace out of border!
Cause:pre-routed, fixed trace(s) placed outside chip outline
Fix:unfix and/or delete trace(s) outside chip outline
Via out of border!
Cause:pre-placed, fixed via(s) placed outside chip outline
Fix:unfix and/or delete via(s) outside chip outline
General router error!
Cause:you tried to start a routing procedure despite previous errors
Fix:close the Cell Router (Exit BAE, Main Menu, or Chip Editor)
 

5.5.2 Cell Router Main Menu

The main menu of the Cell Router provides the following menus and/or functions:

Display
Batch Setup
Batch Start
Full Cellrouter
Optimizer
Load Layout
Chip Editor
Options
Control
Strategy

The Display menu can either be activated by selecting the corresponding main menu item or by pressing the middle mouse button. The Display menu provides useful functions for changing display options such as zoom scale, color settings, trace display clipping, power connections display mode, etc.

The Batch Start main menu function is used to run a series of router passes previously defined with the Batch Setup main menu function.

The Full Cellrouter main menu function is used to start a complete routing process including all default router passes (optional Pin-Via pre-placement, complete initial routing pass, rip-up routing on demand, and optimizer).

The Optimizer main menu function is used to start a single optimizer routing pass for eliminating vias, straightening trace paths and re-routing traces for better placement.

The Load Layout main menu function is used to load previously or partially routed chip layouts for re-entrant routing. Net list changes and current routing parameter settings are considered during trace path evaluation.

The Chip Editor main menu function is used to return to the Chip Editor.

The Options menu is used to set fundamental Cell Router options for subsequent router passes. These parameters define the design rules and technology requirements to be considered by the Cell Router (signal layer count, layer assignment, routing grid, standard trace width and spacing, pin contact mode, etc.). The parameters defined from the Options menu are stored with the layout.

The Control menu provides system functions for exiting BAE and returning to the BAE main shell. The Control menu also provides functions for controlling the routing process, such as discard current routing results to prepare for router restart with new options, set the optimizer passes count, activate/deactivate multi-net pattern recognition during rip-up and/or optimization, set the persistence of the rip-up router, activate pin fanout routing and turn automatic security copy on or off.

The Strategy menu provides functions for setting the strategy parameters and heuristic cost factors to be used by subsequent router and optimizer passes.

 

5.5.3 Cell Router System Features

Graphical Output and Status Displays

The current routing result is displayed graphically and through statistical readouts whilst routing is in progress.

The number of currently routed connections (compared to the total connection count) and the global via count are continuously reported in the status line whilst during Autorouting processes. Additionally, a routing pass info window for displaying internal routing procedure information is provided on the right side of the Cell Router user interface. This info window contains a status line for identifying the currently active router pass type (L - Load Layout, S - Pin Via Preplacement Pass, I - Initial Routing Pass, R - Rip-Up Pass, P - Optimizer Pattern Search Pass, O - Optimizer Pass) and the number n of processed elements in relation to the total number m of elements to be processed by the current router pass (display n/m). The status line ends with the current pass number c and the total number p of passes to be processed (display c/p). The values displayed with the router pass status line cannot be used for accurate total routing time predictions since the required time for completing the routing of each net and/or connection strongly depends on the current routing complexity.

The Display menu is used to set parameters for controlling graphical output. The zoom functions (Zoom All, Zoom In, Zoom Out) are used to select the workspace to be displayed. Usually, one would use Zoom All to display the complete Cell Router work area (i.e. the workspace designated by the board outline). Zoom All is the default setting after starting the Cell Router. The functions for changing the zoom factor (Zoom In and Zoom Out) and the Redraw function can only be executed if chip layout data is already loaded. The Zoom All function of the Cell Router can also be used to load (and display) the chip layout, i.e., Zoom All starts the procedures for loading the layout data if nothing was previously loaded. Note, however, that a series of Cellrouter options (see below) can not be changed anymore once the chip layout has been loaded.

The Change Colors function is used to change the current color setup. The Load Colors function is used to load a predefined color table. On default the Cell Router uses the color table named standard (from the ced.dat system file of the BAE programs directory). It is recommended to use a color setup which displays all routing layers. When routing with blind and buried vias, it is also a good idea to change the color setup to distinguish different via types.

The Set Clipping function is used to set the width at which circuit traces are displayed with their true widths. All traces having a screen width greater than the clipping width are displayed with their true widths. All traces having a screen width less than the clipping width are displayed as center lines. The default clipping width value is 1.5mm.

The Potential Display function is used to display connections to active areas using either cross-shaped markers on connected pins (option Cross) or airlines connecting pins and connection area gravity points (option Box). On default the Cross option is used.

 

5.5.4 Cell Router Options

The Options menu is used to define the design rules and technology requirements to be considered by the Cell Router. Unless ptherwise mention, Option parameters can only be set before starting the actual autorouting process. The option parameter settings are saved with the chip layout. I.e., Cell Router options need not be redefined on subsequent Cell Router calls, unless parameter changes are really required for the chip layout to be automatically routed.

Routing Grid, Standard Trace Width, Standard Minimum Distance

The Cell Router works as a grid-based router. The Routing Grid function from the Options menu is used to define the routing grid before starting the routing process.

The default routing grid setting at the first Cell Router call for a particular chip layout is 6 um. The Other Grid can be used to set a different routing grid. Each routing grid change is stored with the chip layout and also sets the standard trace width and minimum clearance distance values. Both the standard trace width and the standard minimum distance can be changed after specifying a new routing grid (use functions Trace Width and Minimum Distance, respectively). Note however that the sum of trace width + minimum distance must not exceed the current routing grid; otherwise the Cell Router issues an Incompatible options selected! error message when starting the routing process (note warnings such as Accepted for smaller default trace width! and/or Accepted for smaller minimum distance!, and modify trace width and/or minimum distance until either of the messages Minimum distance value accepted! or New default trace width accepted! is issued). The trace widths and minimum distance settings are usually retrieved from the process parameters provided by your chip manufacturer.

The built-in off-grid recognition of the Cell Router allows for off-grid placement of pins and pre-routed traces. I.e., the Cell Router is able to connect objects which are not placed on the routing grid. Note however that on-grid items make the job much easier for the Cell Router since off-grid routing is quite time-consuming and could even prevent the Cell Router from connecting certain items in a simple way. It is recommended to use a reasonable grid for part placement to avoid off-grid pin placement and to enable pin channel routing for better routing results.

Please note that smaller routing grids result in quadratic growth of memory requirements for the routing matrix, and that CPU time usage during the autorouting process rises even more dramatically (due to a non-polynomial growth of the number of possible routing solutions). It is recommended to refrain from selecting unnecessary small routing grids.

The standard trace width is the track width to be used for routing the connections. The standard trace width applies for all nets except for those where certain net attributes are defined. If a routwidth net attribute value is set for a particular net, then the entire net is routed with that routing width. If a powwidth value is set for a particular net, then all library-defined power supply pins of that net are connected with that power width. The standard minimum distance sets the default minimum clearance distance to be considered by the Cell Router when routing the connections. The standard minimum distance applies for all nets, except for those where non-default minimum distance values are assigned with the mindist net attribute. The Cell Router automatically performs high priority processing of nets with net attribute values set. The priority net attribute can be used to specify explicit net-specific routing priorities. See the loglib utility program description in chapter 7.11 of this manual for more details on how to use net attributes for controlling the routing process.

Signal Layer Count and Layer Assignment

The Signal Layer Count function from the Options menu is used to specify the number of signal layers to be simultaneously routed by the Cell Router. The routing layer count can range from 2 to 12.

The Layer Assignment function is used to set the preferred routing direction (horizontal, vertical or all directions) for each routing layer. With the Layer Assignment function it is also possible to define trace keepout layers (i.e., layers where routing is prohibited) or to remove layers from the routing layer list (which will decrement the signal layer count). The default layer assignments are horizontal for the first routing layer, vertical for the second layer, horizontal for the third layer 3, etc. Changing the routing layer count with the Signal Layer Count function resets the layer assignments.

The Cellrouter simultaneously routes all routing layers. Single-layer routing can be carried out with routing layer count 2 and one of the two routing layers defined as prohibited layer.

The layer assignments (except for the signal layer count) can be changed between different router passes, i.e., without the need to restart the complete routing process. Restrictions introduced with layer assignment changes will not cause a deterioration of the current routing result in subsequent router passes. I.e., the Cellrouter will try to but not necessarily remove all previously routed traces from prohibited layers, if those layers were available for routing before.

Maximum Via Count

The Maximum Via Count function is used to set the maximum number of vias per circuit trace. The default maximum via count is 30. A maximum via count of 0 forces the Cell Router to rout the layout without vias. The maximum via count can be changed between different router passes, i.e., without the need of restarting the complete routing process. Lowering the maximum via count changes will not cause a deterioration of the current routing result in subsequent router passes. I.e., the Cell Router will try to but not necessarily remove previously placed vias.

Via Offset

The Via Grid option controls whether the Cellrouter is allowed to place vias with an offset or not. No via offset means that vias are placed in-line with the traces (i.e., on the routing grid). Routing with via offset means that vias can be shifted by half the routing grid at their placement. The choice to offset or not must be calculated by the user taking into consideration the routing grid, trace width, clearance distance and via size. Routing with via offset may well allow to use routing channels which would otherwise be occupied by in-line placed vias on adjacent grid channels. This can have significant effect on routing success. It is recommended to refrain from routing with via offset when using larger vias since this could cause poor routing results.

Sub-Grid Routing

The Routing Sub-Grid function is used to activate half-grid routing (option Half Grid 1:2). On default half-grid routing is deactivated (option Standard 1:1). Half-grid routing means that the Cell Router can alternatively use a routing grid shifted by half of the selected routing grid, e.g., half-grid routing with 4um routing grid can also utilize the 2um routing grid. The current standard trace width and minimum distance settings are not affected by the sub-grid routing option. Half-grid routing yields better use of regions nearby off-grid placed objects such as pin channels at off-grid placed parts, thus considerably increasing routability of dense layouts.

Pin Contact Mode

The Pin Contact Mode function is used to allow (option Use Pin Corners) or avoid (option Lock Pin Corners, default) pin corner routing. This feature works on approximately rectangle-shaped pads and controls whether traces can exit such pads at 45 degree angles or not. Routing with pin corner obstruction can produce better looking layouts, but could also impede 100% routing. Note that connecting pins with a size approximately equal to or smaller than the trace width could fail. The Lock Pin Corners option should not be used with designs that include thick traces. Note also that bus routing can produce unpredictable results when switched to pin corner obstruction.

 

5.5.5 Cell Router Control

The Control menu provides a series of functions for controlling the routing process.

Router Restart

The Router Restart function discards the previous routing result. This function can be used to restart the routing process with new routing options and strategies.

Optimizer Passes

The Optimizer Passes function is used to set the number of Optimizer routing passes to be automatically activated by the Full Cellrouter function after obtaining a 100% routing. The Optimizer passes count can range from 0 to 99; 2 Optimizer passes are activated on default.

Router Cleanup, Optimizer Cleanup

The Router Cleanup function is used to activate (default option Rip-Up Cleanup On) or deactivate (option Rip-Up Cleanup Off) cleanup passes during rip-up routing. The Optimizer Cleanup function is used to activate (default option Optimizer Cleanup On) or deactivate (option Optimizer Cleanup Off) cleanup passes during optimization. When running cleanup passes (note message Pattern Search issued by the routing progress report), the Cellrouter makes use of a unique pattern search recognition algorithm for identifying disturbing traces during rip-up and cross-net optimization. The Cellrouter is able to select and remove disturbing traces during rip-up and perform cross-net changes during optimization. Cleanup during cross-net optimization usually reduces via counts dramatically and thus is also called if the rip-up router (temporarily) fails to find an acceptable solution. It is recommended to refrain from turning off cleanup when running rip-up on complex designs or optimizing dense chip layouts. Turning cleanup off results in sequential processing of the connections and can produce contenting results when running final Optimizer passes on certain layouts (however, more passes are then required for pushing and/or straightening trace bunches).

Rip-Up Trees, Rip-Up Depth, Rip-Up Retries

The Rip-Up Trees function sets the maximum number of traces allowed to be simultaneously ripped up per rip-up cycle. The rip-up trees number is set to 2 on default, and can range from 1 to 9. The Rip-Up Depth function is used to control the persistence of the rip-up process. A high value will result in higher persistence. The rip-up depth value can range from 1 to 999, and is set to 50 on default. The Rip-Up Retries function sets the maximum number of rip-up retries for routing a particular trace, thus defining the local rip-up router intensity. The rip-up retries number is set to 2 on default, and can range from 0 to 99. Higher rip-up control parameters increase the persistence and intensity of the rip-up routing process, and thus can be used for special problems such as completing 100% routing without intermediate optimizer passes or for routability check.

Once 99.5% routing completion is achieved, the Rip-Up parameters are automatically increased to Rip-Up Trees 6, Rip-Up Level 200 and Rip-Up Retries 10, unless higher values are already set. This helps to avoid the time-consuming Optimizer cleanup passes between Rip-Up passes if only a few open connections are left.

Pin Via Pre-Place

The Pin Via Pre-Place function is used to activate (option Via Pre-Place On) or deactivate (default option Via Pre-Place Off) the initial routing algorithm for connecting cell pins to signal inside layers. With pin fanout routing activated the Full Cellrouter function will start with the pin via pre-place initial routing pass. The fanout router creates short trace stubs to connect netlist pins to vias. The fanout router ignores any layer-specific routing direction preferences to avoid pin channel obstructions. The purpose of the fanout router is to prevent the Cell Router from blocking pin rows through extensive use of the cell/pin layers at an early stage of the routing process. The pin via router does not (re-)rout pins which are already connected to fixed traces. Redundant pin via connections are later eliminated by the Optimizer.

Security Copy

The Security Copy function is used to activate (default) or deactivate automatic security copy of intermediate routing results.

 

5.5.6 Cell Router Strategy

The Strategy menu provides functions for setting routing strategies such as via cost, pin channel cost, packing cost, bus bending cost, dynamic density cost, etc. These settings should be used with care. Only change one or two at a time. Poor routing results are often found to be caused by random strategy parameter settings. The default settings will work best in the vast majority of cases. It is strongly recommended to change strategy parameters in special cases only and to refrain from using extreme values.

There are strong mutual dependencies between different Cell Router strategy parameters. A high via cost value (for eliminating vias) will necessarily result in more ignorance of routing direction preferences, thus compensating the cost factor for keeping preferred routing directions. Note also that strategy parameters only define subordinate options for the routing process, due to the fact that it is much more important to achieve a 100% routing result instead of, e.g., keeping preferred routing directions. I.e., some cost factors may be completely ignored during initial routing and rip-up and will only be considered by the Optimizer. Tabelle 5-1 provides an overview to all of the routing parameters which can be set from the Strategy menu.

Table 5-1: Cell Router Strategy Parameters

Strategy ParameterValue RangeDefault ValueEffect on
Router
Effect on
Optimizer
Optimize DirectionNormal
Preferred
Diagonal
Normal-x
Via Cost2..2010xx
Pin Channel Cost0..103x-
Counter Dir. Cost0..51xx
Dir. Change Cost0..52-x
Packing Cost0..51x-
Dyn. Density Cost0..5010x-
Bus Bending Cost0..52x-
Distance-1 Cost0..105x-
Distance-2 Cost0..102x-
Trace Cross. Cost2..10020xx
Diagonal Cost0..105-x
Off-Grid Cost0..52xx

Optimize Direction

The Optimizer Direction function is used to designate the Optimizer strategy. The Normal default option causes the Optimizer to ignore layer-specific preferred routing directions in order to obtain the greatest reduction of vias. The Preferred option causes the Optimizer to consider layer-specific preferred routing directions, which could increase the number of vias. The Diagonal option causes the Optimizer to prefer diagonal (45 degree) routing where appropriate.

Via Cost

The Via Cost setting is used by the Router and the Optimizer. A high via cost factor results in fewer vias but more complex circuit traces. A low via cost factor permits more vias within the restraint of the maximum via count (see above). The via cost value can range from 2 to 20; the default value is 10.

Pin Channel Cost

The Pin Channel Cost setting is used by the Router only. A high pin channel cost factor results in infrequent use of pin channels. A low pin channel cost factor permits the frequent use of pin channels. Pin channels are the regions between adjacent part pins. The pin channel cost value can range from 2 to 20; the default value is 10.

Counter Direction Cost

The Counter Dir. Cost setting is used by the Router and the Optimizer. A high counter direction cost factor results in strict adherence to the layer-specific preferred routing directions. A low cost factor permits frequent variations from the preferred direction. The counter direction cost value can range from 0 to 5; the default value is 1.

Direction Change Cost

The Dir. Change Cost setting is used by the Optimizer only. A high direction change cost factor results in less circuit corners. A low direction change cost factor permits frequent changes in routing directions. The direction change cost value can range from 0 to 5; the default value is 2.

Packing Cost

The Packing Cost setting is used by the Router only. A high packing cost factor results in high bundling of circuit traces. A low packing cost factor will result in wider distribution of circuit traces. The packing cost value can range from 0 to 5; the default value is 1.

Dynamic Density Cost

The Dyn. Density Cost setting is used by the Router only. The dynamic density cost factor controls the global distribution of circuit traces over the entire layout. A high dynamic density cost factor results in a more even distribution of the circuit traces. A low cost factor gives more influence to routing costs. The dynamic density cost value can range from 0 to 50; the default value is 10.

Bus Bending Cost

The Bus Bending Cost setting is used by the Router only. The bus bending cost factor controls the bending of traces after passing a pin channel. A high bus bending cost factor results in high priority of bending. A low bus bending cost factor results in less bending. The bus bending cost value can range from 0 to 5; the default value is 2.

Rip-Up Distance Cost

The Distance-1 Cost and Distance-2 Cost settings are used by the Router during rip-up.

The rip-up distance-1 cost factor controls the use of channels left by ripped up traces in the near distance (0 to 1 grid point). A high distance-1 cost factor results in less use of these channels, thus forcing more local changes during rip-up and retry routing. The rip-up distance-1 cost value can range from 0 to 10; the default value is 5.

The rip-up distance-2 cost factor controls the use of channels left by ripped up traces in the far distance (2 grid points). A high distance-2 cost factor results in less use of these channels, thus forcing more global changes during rip-up and retry routing. The rip-up distance-2 cost value can range from 0 to 10; the default value is 2.

Trace Crossing Cost

The Trace Cross. Cost is used to set the trace transition cost factor, which is considered by the Router and the Optimizer to control cleanup pattern recognition during multi-net optimization. A high trace crossing cost factor allows for a more complex routing with more traces crossing each other, thus also producing more vias. A low trace crossing cost factor leads to increased (and more time-consuming) analysis during cross-net optimization, thus eliminating more vias. The trace crossing cost value can range from 2 to 100; the default value is 10.

Diagonal Routing Cost

The Optimizer considers the Diagonal Cost setting on routing layers where the Diagonal routing option is selected (see Optimize Direction function above). A high diagonal routing cost factor causes the Optimizer to use more diagonal routes. A low diagonal routing cost factor results in less diagonal routing. The diagonal cost value can range from 0 to 10; the default value is 5.

Off-Grid Routing Cost

The Off-Grid Cost setting is used by the Router and the Optimizer, and is considered when routing with the half-grid option (see above). A high off-grid routing cost factor results in less use of the sub-grid. A low off-grid routing cost factor permits frequent use of the sub-grid. The off-grid routing cost value can range from 0 to 5; the default value is 2.

 

5.5.7 Cell Router Functions

Some of the actual autorouting procedures provided with the Cell Router can be called from the main menu, others can be activated using either router control parameters or the Batch Setup and Batch Start functions (see below).

The current routing result is displayed graphically and through statistical readouts whilst routing is in progress. The routing process can be stopped at any time by pressing a key, causing the Cell Router to revert to the (currently best) routing result.

Pin Via Pre-Place

Pin Via Pre-Place is a special initial routing algorithm for connecting netlist pins to other layers through short trace stubs with single vias. A channel width setting is required for these fanout routing passes. The fanout router doesn't consider layer-specific preferred routing direction settings. The purpose of pin via pre-routing is to prevent the Cell Router from extensively using the cell/pin layers at an early stage of the routing process. This strategy achieves earlier 100% routing success in a range of designs. Redundant via connections created by Pin Via Pre-Place are later eliminated by the Optimizer.

Single-Pass Initial Routing

The single-pass Initial Router performs signal trace routing considering preferred routing directions, a certain channel width and a maximum via count per two-point connection. The channel width is the maximum permitted deviance from preferred directions. The channel width is specified in routing grid steps. A zero channel width removes the restraints on deviating from the preferred direction, i.e., the entire board area is then released for routing. The maximum via count used by the Initial Router will never exceed the value set with the Maximum Via Count function from the Options menu (see above). The Initial Router places traces close together, thus using minimum space in order to leave more room for subsequent traces (trace hugging). The Initial Router also uses advanced techniques of copper sharing where appropriate. The Initial Router processes power layer connections and attributed nets (with non-default routing widths, minimum distance settings and routing priorities) with highest priority.

Complete Initial Routing

The Complete Initial Router automatically activates four Initial Router passes to rout all open connections which can be routed without rip-up and retry. With each Initial Router pass the channel width and the maximum via count is increased. The first Initial Router pass runs with maximum via count zero. The last Initial Router pass runs with channel width zero and a maximum via count according to the parameter set with the Maximum Via Count function from the Options menu (see above).

Rip-Up/Retry Router

The Rip-Up/Retry Router attempts to route all open connections until the board is completely routed. Connections which can be routed without rip-up are routed first. Then the Rip-Up/Retry Router selects and eliminates traces (rip-up) and re-routes them to create space for the unroutes. For this purpose, the router gathers information on dense board areas and increases the cost of routing in such areas. The Rip-Up/Retry Router is supported by a sophisticated array of heuristic strategy parameters. The cost factors can be dynamically adapted to the current routing problem, thus controlling the "price" of strategies such as via placement, routing against preferred directions, using pin channels, etc. It is strongly recommended to refrain from modifying these strategies, unless the routing success is not what would be expected. When changing cost factors, slight adjustments to a few can make significant improvements or make things much worse. The Rip-Up/Retry Router is guarded by a unique backtracking algorithm, which not only prevents from a deterioration of the result or a dead-lock during rip-up or optimization but also is able to exploit a new and/or better routing solution. The Rip-Up/Retry Router automatically activates intermediate Optimizer passes if a single rip-up pass fails to achieve 100% routing success.

Optimizer

The Optimizer function is used to start a single Optimizer pass. The Optimizer usually is applied after 100% routing to optimize the layout for manufacturing. The Optimizer eliminates redundant vias, smoothes traces and attempts to rout open connections. Channel width zero is used during optimization and the maximum via count is set to the same value as defined with the Maximum Via Count function from the Options menu (see above).

Full Cellrouter

The Full Cellrouter main menu function is used to start a complete routing process including all router passes such as Pin Via Pre-Placement (optionally), complete initial routing, rip-up/retry routing (if necessary) and optimization. This is the standard procedure for performing complete routing of the chip layout. The number of final Optimizer passes can be set with the Optimizer Passes function from the Control menu (see above). The Pin Via Pre-Placer is only activated if the Via Pre-Place On option has been selected with the Pin Via Pre-Place function from the Control menu.

Load Layout

The Load Layout function is used to load previously or partially routed layouts to prepare for re-entrant routing. Before starting the Load Layout function, the Cell Router options and strategies should be set as required (see above). Fixed traces (and vias) are left unchanged. Unfixed traces are evaluated considering changed part placement, current via assignments, modified keepout and power plane definitions, net list changes, and current Cell Router parameter settings (routing grid, standard trace width, corner cutting, clearance, etc.). The Load Layout function tries to adjust unfixed traces to conform with the design rules. Arc-shaped trace segments are replaced by straight segments where possible. Unfixed traces not adjustable to the design rules are completely or partially discarded. The Full Cellrouter function can be used to complete the routing after Load Layout (re-entrant routing).

Batch Setup and Batch Start

The Batch Start function is used to start a routing process with different user-defined router passes. The Batch Setup function is used to schedule up to ten of the following autorouting procedures to be subsequently processed with the Batch Start function:

Command Routing Procedure
LLoad Layout
FFull Cellrouter
ISingle-Pass Initial Routing
PPin Via Pre-Place
CComplete Initial Routing
RRip-Up/Retry Router
OOptimizer
-none (remove router pass from batch)

Single-Pass Initial Routing pass requires a routing channel width specification and a maximum via count setting. Pin Via Pre-Placement requires a routing channel width specification. Optimizer requires the number of required Optimizer passes (up to 999).

Bartels :: Bartels AutoEngineer :: BAE Documentation :: BAE User Manual :: IC/ASIC Design :: Cell Router

Cell Router
© 1985-2024 Oliver Bartels F+E • Updated: 11 October 2010, 10:32 [UTC]

© 1985-2024 Oliver Bartels F+E Bartels Homepage Contact and Corporate Info

Web Development by Baumeister Mediasoft Engineering

Cellrouter - Deutsche Version Cell Router - English Version